Войти
Особенности ведения бизнеса в России
  • Сценарий весеннего развлечения в подготовительной группе «Весенние забавы
  • Что такое почва и из чего она состоит?
  • Увольнение без отработки по собственному желанию
  • Американский козодой: единственная птица, которая на зиму впадает в спячку
  • Дидактические игры для формирования у детей интереса к людям разных профессий
  • Презентация к уроку русского языка "безударные падежные окончания имен существительных"
  • Московский государственный университет печати. Московский государственный университет печати Системы проектирования параметров электронных средств

    Московский государственный университет печати. Московский государственный университет печати Системы проектирования параметров электронных средств

    Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

    Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

    Контрольная работа по теме:

    Этапы проектирования электронных систем

    Проектное решение - промежуточное описание проектируемого объекта, полученное на том или ином иерархическом уровне, как результат выполнения процедуры (соответствующего уровня).

    Проектная процедура - составная часть процесса проектирования. Примерами проектных процедур служат синтез функциональной схемы проектируемого устройства, моделирование, верификация, трассировка межсоединений на печатной плате и т.д.

    Проектирование ЭУ разделяется на этапы. Этап представляет собой определенную последовательность проектных процедур. Общая последовательность этапов проектирования представляется так:

    составление ТЗ;

    ввод проекта;

    проектирование архитектуры;

    функционольно-логическое проектирование;

    схемотехническое проектирование;

    топологическое проектирование;

    изготовление опытного образца;

    определение характеристик устройства.

    Составление ТЗ. Определяются требования к проектируемому изделию, его характеристики и формируется техническое задание на проектирование.

    Ввод проекта. Для каждого этапа проектирования характерны свои средства ввода, более того, во многих инструментальных системах предусматривают более чем один способ описания проекта.

    Эффективными являются высокоуровневые графические и текстовые редакторы описания проекта современных систем проектирования. Такие редакторы дают разработчику возможность чертить блок - схему крупной системы, назначать модели для индивидуальных блоков и соединять последние посредством шин и трактов передачи сигналов. Редакторы, как правило, автоматически связывают текстовые описания блоков и соединений с соответствующими графическими изображениями, обеспечивая тем самым комплексное моделирование системы. Это позволяет инженерам системотехника не менять привычного стиля работы: можно по - прежнему думать, набрасывая блок-схему своего проекта как бы на листе бумаги, в то же время будет вводится и накапливаться точная информация о системе.

    Логические уравнения или принципиальные электрические схемы зачастую очень удачно используются для описания базовой интерфейсной стыковочной логике.

    Таблицы истинности целесообразные для описания дешифраторов или других простых логических блоков.

    Языки описания аппаратуры, содержащие конструкции типа конечных автоматов, обычно гораздо эффективнее для представления более сложных логических функциональных блоков, например блоков управления.

    Проектирование архитектуры. Представляет собой проектирование ЭУ до уровня передачи сигналов ЦП и ЗУ, ЗУ и КПДП. На этом этапе определяется состав устройства в целом, определяются его главные аппаратные и программные компоненты.

    Т.е. проектирование целой системы с высокоуровневым ее представлением для проверки корректности архитектурных решений, делается, как правило, в тех случаях, когда разрабатывается принципиально новая система и необходимо тщательно проработать все архитектурные вопросы.

    Во многих случаях полное системное проектирование требует включения в структуру и неэлектрических компонентов и эффектов, с целью проверки их в едином комплексе моделирования.

    В качестве элементов этого уровня используются: процессор, память, контроллеры, шины. При построении моделей и моделировании системы здесь используются методы теории графов, теории множеств, теории Марковских процессов, теории массового обслуживания, а также логико-математические средства описания функционирования системы.

    На практике предусматривается построение параметризированной системной архитектуры и выбор оптимальных параметров ее конфигурации. Следовательно и соответствующие модели должны быть параметизированны. Параметры конфигурации архитектурной модели определяют, какие функции будут реализовываться аппаратными, а какие программными средствами. В качестве некоторых параметров конфигурации для аппаратных средств можно назвать:

    число, разрядность и пропускную способность шин системы;

    время доступа к памяти;

    размер кэш-памяти;

    число процессоров, портов, регистровых блоков;

    емкость буферов передачи данных.

    А к параметрам конфигурации программных средств относятся, например:

    параметры планировщика;

    приоритетность задач;

    интервал "удаления мусора";

    максимально допустимый интервал ЦП для программы;

    параметры подсистемы управления памятью (размер страницы, сегмента, а также распределение файлов по дисковым секторам;

    Параметры конфигурации средств передачи данных:

    величина интервала тайм-аута;

    размер фрагмента;

    протокольные параметры для обнаружения и исправления ошибок.

    Рис. 1 - Последовательность проектных процедур архитектурного этапа проектирования

    При интерактивном проектировании на системном уровне вначале вводится функциональные спецификации системного уровня в виде диаграмм потоков данных, а также выбираются типы компонентов для реализации различных функций (рис. 1). Здесь главная задача заключается в том, что разработать такую системную архитектуру, которая будет удовлетворять заданным функциональным, скоростным и стоимостным требованиям. Ошибки на архитектурном уровне обходятся гораздо дороже, чем в решениях, принимаемых в процессе физической реализации.

    Архитектурные модели имеют важное значение и отражают логику поведения системы и временные ее особенности, что позволяет выявлять функциональные проблемы. Они обладают четырьмя важными особенностями:

    они точно представляют функциональные возможности аппаратных и программных компонентов с использованием высокоуровневых абстракций данных в виде потоков данных;

    архитектурные модели абстрактно представляют технологию реализации в виде временных параметров. Конкретную технологию реализации определяют конкретные значения этих параметров;

    архитектурные модели содержат схемы, позволяющие многим функциональным блокам разделять (коллективно использовать) компоненты;

    эти модели должны допускать параметризацию, типизацию и повторное использование;

    Моделирование на системном уровне позволяет разработчику оценить альтернативные варианты проектов системы с точки зрения соотношения их функциональных возможностей, показателей быстродействия и стоимости.

    Инструментальная система нисходящего проектирования (ASIC Navigator, компании Compass Disign Automation) для ASIC (спец. ИС) и систем.

    Попытка освободить инженеров от проектирование на вентильном уровне.

    Logic Assistant (ассистент по логике);

    Design Assistant;

    ASIC Synthesizez (синтезатор ASIC);

    Это унифицированная среда проектирования и анализа. Позволяет создать спецификацию ASIC, вводя графические и текстовые описания своих проектов. Пользователи могут описывать свои проекты при помощи большинства способов высокоуровневого ввода, в том числе блок-схем, булевых формул, диаграмм состояния, операторов языка VHDL и Verilog и т.д. Программные средства системы будут поддерживать эти способы ввода как основу всего последующего процесса проектирования ASIC-системы.

    Общую архитектуру проектируемой ASIC можно представить в виде взаимосвязанных функциональных блоков без учета их физического разбиения. Эти блоки можно затем описывать способом, наиболее соответствующим особенностям каждой функции. Например, пользователь может описывать логику управления при помощи диаграмм состояния, арифметические функциональные блоки - при помощи схем трактов обработки данных, а алгоритмические функции на языке VHDL. Окончательное описание может быть комбинацией как текстовых, так и графических материалов и служит основой для анализа и реализации ASIC.

    Подсистема Logic Assistant преобразует затеи полученную спецификацию в поведенческий код языка VHDL. Этот код может быть обработан при помощи системы моделирования на языке VHDL, разработанной третьей фирмой. Модифицирование спецификации на поведенческом уровне, дает возможность вносить изменения и производить отладку на начальных этапах проектирования.

    Disign Assistant

    После того, как спецификация проверена, ее можно отобразить на ASIC-приборе. Вначале, однако, пользователь должен решить, каким образом лучше всего реализовать такой высокоуровневый проект. Описание проекта можно отобразить на одну или несколько вентильных матриц или ИС на базе стандартных элементов.

    Dising Assistant помогает пользователям оценивать разнообразные варианты, чтобы добиться оптимальной реализации. D.A. по указанию пользователя определяет оценочный размер кристалла, возможные способы корпусирования, мощность потребления и расчетное количество логических вентилей для каждого варианта декомпозиции и для каждого вида ASIC.

    Пользователь может затем в интерактивном режиме производить анализ по принципу "что-если", исследовать альтернативные технические решения с разными вариантами декомпозиции проекта или компоновать и перемещать стандартные элементы для случая вентильных матриц. Таким образом пользователь может найти оптимальный подход, удовлетворяющий требованиям спецификации.

    ASIC Synthesizer

    После того, как конкретный вариант проекта выбран, его поведенческое описание необходимо преобразовать в представление уровня логических вентилей. Эта процедура является весьма трудоемкой.

    На вентильном уровне в качестве структурных элементов могут быть выбраны: логические вентили, триггера, а в качестве средств описания - таблицы истинности, логические уравнения. При использовании регистрового уровня, структурными элементами будут: регистры, сумматоры, счетчики, мультиплексоры, а средства описания - таблицы истинности, языки микроопераций, таблицы переходов.

    Большое распространение на функционально- логическом уровне получили так называемые логические имитационные модели или просто имитационные модели (ИМ). ИМ отражают только внешнюю логику и временные особенности функционирования проектируемого устройства. Как правило, в ИМ внутренние операции и внутренняя структура не должны быть похожи на те, которые существуют в реальном устройстве. Но моделируемые операции и временные особенности функционирования, в том виде как они внешне наблюдаются, в ИМ должны быть адекватны тем, которые существуют в реальном устройстве.

    Модели этого этапа используются для проверки правильности реализации заданных алгоритмов функционирования функциональной или логической схемы, а также временных диаграмм устройства без конкретной аппаратной реализации и учета особенностей элементной базы.

    Это осуществляется методами логического моделирования. Под логическим моделированием подразумевается имитация на ЭВМ работы функциональной схемы в смысле продвижения информации, представленной в виде логических значений "0" и "1" от входа схемы к ее выходу. Проверка функционирования логической схемы включает как проверку реализуемых схемой логических функций, так и проверку временных соотношений (наличие критических путей, рисков сбоя и состязания сигналов). Основные задачи, решаемые с помощью моделей этого уровня, - верификация функциональных и принципиальных схем, анализ диагностических тестов.

    Схемотехническое проектирование - это процесс разработки принципиальных электрических схем, спецификаций в соответствии с требованиями технического задания. Проектируемые устройства могут быть: аналоговые (генераторы, усилители, фильтры, модуляторы т др.), цифровые (разнообразные логические схемы), смешанные (аналогово-цифровые).

    На этапе схемотехнического проектирования электронные устройства представляются на схемном уровне. Элементами этого уровня являются активные и пассивные компоненты: резистор, конденсатор, катушка индуктивности, транзисторы, диоды и т.д. В качестве элемента схемного уровня может быть использован и типовой фрагмент схемы (вентиль, триггер и т.д.). Электронная схема проектируемого представляет собой соединение идеальных компонентов, достаточно точно отображающее структуру и элементный состав проектируемого изделия. Предполагается, что идеальные компоненты схемы допускают математическое описание с заданными параметрами и характеристиками. Математическая модель компонента электронной схемы представляет собой ОДУ относительно переменных: тока и напряжения. Математическая модель устройства представляются совокупностью алгебраических или дифференциальных уравнений, выражающих зависимости между токами и напряжениями в различных компонентах схемы. Математические модели типовых фрагментов схемы называют макромоделями.

    Этап схемотехнического проектирования включает следующие проектные процедуры:

    структурный синтез- построение эквивалентной схемы проектируемого устройства

    расчет статических характеристик предполагает определение токов и напряжений в любом узле схемы; анализ вольтамперных характеристик и исследование влияния параметров компонентов на них.

    расчет динамических характеристик заключается в определении выходных параметров схемы в зависимости от изменения внутренних и внешних параметров (одновариантный анализ), а также в оценке чувствительности и степени разброса относительно номинальных значений выходных параметров в зависимости от входных и внешних параметров электронной схемы (многовариантный анализ).

    параметрическая оптимизация, определяющая такие значения внутренних параметров электронной схемы, которые оптимизируют выходные параметры.

    Различают нисходящее (сверху вниз) и восходящее (снизу вверх) проектирование. При нисходящем проектировании выполняются прежде этапы использующие высокие уровни представления устройств, чем этапы использующие более низкие иерархические уровни. При восходящем проектировании последовательность противоположная.

    При рассмотрении дерева проекта можно указать на две концепции проектирования: восходящее проектирование (снизу вверх) и нисходящее (сверху вниз). Здесь словом "верх" обозначается корень дерева, а слово "низ" относится к листьям. При нисходящем проектировании работу можно начинать уже тогда, когда разработчику уже известны только функции корня, - и он (или она) производит, прежде всего, разбиение корня на некоторое множество примитивов нижележащего уровня.

    После этого разработчик переходит к работе с нижележащим уровнем и осуществляет разбиение примитивов данного уровня. Подобный процесс продолжается до тех пор, пока дело не дойдет до узлов-листьев проекта. Для характеристики нисходящего проектирования важно отметить то, что разбиение на каждом уровне оптимизируется согласно тому или иному объективному критерию. Здесь разбиение не связывается рамками того, "что уже имеется".

    Термин "восходящее проектирование" не совсем правилен в том смысле, что процесс проектирования по прежнему начинается с определения корня дерева, однако в этом случае разбиение осуществляется с учетом того, какие компоненты уже имеются и могут использоваться в качестве примитивов; другими словами, разработчику при разбиении приходится исходить из того, какие составные части будут представляться в узлах-листьях. Эти самые "нижние" части будут проектироваться в первую очередь. Нисходящее проектирование кажется самым подходящим подходом, однако его слабость в том, что получаемые компоненты не являются "стандартными", вследствие чего стоимость проекта увеличивается. Поэтому наиболее рациональным представляется сочетание методов восходящего и нисходящего проектирования.

    Согласно прогнозам подавляющее большинство инженеров-разработчиков средств электронной и вычислительной техники будут пользоваться нисходящей методологией. Они станут, по сути, инженерами-системотехниками, причем значительную часть своего времени будут затрачивать на проектирование изделий на поведенческом уровне.

    В настоящее время проектирование электронных систем осуществляется по восходящей методологии, причем первым этапом процесса проектирования является обычно ввод описания схемы на структурном уровне (очевидно, на уровне ИС и дискретных компонентов). После определения структуры вводится описание поведения этой системы на том или ином языке описания этой аппаратуры и осуществляется модулирование. В этом случае электронная часть проекта выполняется вручную, то есть без применения инструментальных средств проектирования.

    Усложнение проектируемых систем приводит к тому, что разработчики практически теряют возможность интуитивно анализировать проект, то есть оценивать качество и характеристики спецификации проекта системы. А моделирование на системном уровне с использованием архитектурных моделей (как первый этап процесса нисходящего проектирования) представляет такую возможность.

    В случае нисходящего проектирования, описанные выше два этапа восходящего проектирования, выполняются в обратном порядке. При нисходящем проектировании основное внимание уделяется поведенческому представлению разрабатываемой системы, а не ее физическому или структурному представлению. Естественно, что конечный результат нисходящего проектирования также представляет собой структурное или схемное представление проекта.

    Здесь дело в том, что для нисходящего проектирования необходимы системные архитектурные модели, а для восходящего - структурные модели.

    Преимущества (для всех САПР):

    1) Методология нисходящего проектирования служит предпосылкой для параллельного проектирования: координированной разработки аппаратных и программных подсистем.

    2) Внедрению метода нисходящего проектирования способствуют средства логического синтеза. Эти средства обеспечивают преобразование логических формул в физически реализуемые описания уровня логических вентилей.

    Благодаря этому:

    упрощается физическая реализация

    эффективно используется время проектирования

    эффективно используются технологические шаблоны

    Однако для сложных проектов, масштабы которых выражаются несколькими сотнями тысяч логических вентилей, желательно иметь возможность глобальной оптимизации благодаря моделированию и анализу на системном уровне.

    3) Методология нисходящего проектирования базируется на том, что автоматически создается спецификация проекта по исходным функциональным требованиям. Именно функциональные требования являются исходным компонентом при проектировании сложных систем. Благодаря этому подобный подход позволяет уменьшить вероятность неработоспособной системы. Во многих случаях неработоспособность проектируемой системы вызывается несоответствием между функциональными требованиями и спецификациями проекта.

    4) Еще одним потенциальным преимуществом нисходящего проектирования является то, что оно позволяет разрабатывать эффективные тесты для верификации и аттестации проекта, а также тест-векторы для контроля изготовленных изделий.

    5) Результаты моделирования на системном уровне могут послужить основой для количественной оценки проекта уже на начальных стадиях проектирования. На более поздних этапах для верификации и аттестации проекта необходимо моделирование на уровне логических вентилей. Однородная среда проектирования позволит сравнить результаты моделирования, получаемые на первых и на последующих этапах проектирования.

    Подобные документы

      Понятие, задачи и проблемы автоматизации проектирования сложных электронных систем. Структура комплекса аппаратно-программных средств САПР. Описание микросхемного, регистрового, вентильного и кремниевого уровней представления мультипроцессорных систем.

      реферат , добавлен 11.11.2010

      Моделирование усилителя мощности звуковых частот (УМЗЧ) с целью проверки соответствия его характеристик техническим требованиям, предъявляемым к данному типу устройств. Изучение основных проектных процедур схемотехнического этапа проектирования.

      курсовая работа , добавлен 07.07.2009

      Типовая схема процесса автоматизированного проектирования РЭС. Классификация проектных задач решаемых в процессе проектирования РЭС. Структура САПР, математическое обеспечение, лингвистическое обеспечение. Языки диалогов их разновидности и типы.

      реферат , добавлен 10.12.2008

      Алгоритмические методы широко используются для измерения и расчёта параметров математических моделей радиокомпонентов в системах автоматизированного проектирования электронных схем. Для их проектирования используются электронно-вычислительные машины.

      диссертация , добавлен 15.12.2008

      Система схемотехнического моделирования электронных устройств. Математическое описание объектов управления; определение параметров технологических объектов. Оценка показателей качества САУ. Расчет линейных непрерывных систем, их структурная оптимизация.

      курс лекций , добавлен 06.05.2013

      Анализ современного состояния проектирования приемо-передающих радиоустройств. Описание систем поддержки принятия решений, перспективы применения подобных систем в области проектирования. Расчет полосы пропускания высокочастотного тракта приемника.

      дипломная работа , добавлен 30.12.2015

      Основные методы проектирования и разработки электронных устройств. Расчет их статических и динамических параметров. Практическое применение пакета схемотехнического моделирования MicroCap 8 для моделирования усилителя в частотной и временной областях.

      курсовая работа , добавлен 23.07.2013

      Режимы работы, типы технических средств телевизионных систем видеонаблюдения, этапы и алгоритм проектирования. Параметры выбора монитора и наиболее популярных устройств регистрации. Классификация камер, особенности внутреннего и внешнего монтажа.

      реферат , добавлен 25.01.2009

      Принципы проектирования комплекса технических средств автоматизированных систем управления. Требования, предъявляемые к специализированным устройствам, и затраты на их реализацию. Устройства кодирования графической информации. Графопостроители и табло.

      реферат , добавлен 20.02.2011

      Методы и этапы конструирования радиоэлектронной аппаратуры. Роль языка программирования в автоматизированных системах машинного проектирования. Краткая характеристика вычислительных машин, используемых при решении задач автоматизации проектирования РЭА.

    Проектное решение - промежуточное описание проектируемого объекта, полученное на том или ином иерархическом уровне, как результат выполнения процедуры (соответствующего уровня).

    Проектная процедура - составная часть процесса проектирования. Примерами проектных процедур служат синтез функциональной схемы проектируемого устройства, моделирование, верификация, трассировка межсоединений на печатной плате и т.д.

    Проектирование ЭУ разделяется на этапы. Этап представляет собой определенную последовательность проектных процедур. Общая последовательность этапов проектирования представляется так:

    составление ТЗ;

    ввод проекта;

    проектирование архитектуры;

    функционольно-логическое проектирование;

    схемотехническое проектирование;

    топологическое проектирование;

    изготовление опытного образца;

    определение характеристик устройства.

    Составление ТЗ. Определяются требования к проектируемому изделию, его характеристики и формируется техническое задание на проектирование.

    Ввод проекта. Для каждого этапа проектирования характерны свои средства ввода, более того, во многих инструментальных системах предусматривают более чем один способ описания проекта.

    Эффективными являются высокоуровневые графические и текстовые редакторы описания проекта современных систем проектирования. Такие редакторы дают разработчику возможность чертить блок - схему крупной системы, назначать модели для индивидуальных блоков и соединять последние посредством шин и трактов передачи сигналов. Редакторы, как правило, автоматически связывают текстовые описания блоков и соединений с соответствующими графическими изображениями, обеспечивая тем самым комплексное моделирование системы. Это позволяет инженерам системотехника не менять привычного стиля работы: можно по - прежнему думать, набрасывая блок-схему своего проекта как бы на листе бумаги, в то же время будет вводится и накапливаться точная информация о системе.

    Логические уравнения или принципиальные электрические схемы зачастую очень удачно используются для описания базовой интерфейсной стыковочной логике.

    Таблицы истинности целесообразные для описания дешифраторов или других простых логических блоков.

    Языки описания аппаратуры, содержащие конструкции типа конечных автоматов, обычно гораздо эффективнее для представления более сложных логических функциональных блоков, например блоков управления.

    Проектирование архитектуры. Представляет собой проектирование ЭУ до уровня передачи сигналов ЦП и ЗУ, ЗУ и КПДП. На этом этапе определяется состав устройства в целом, определяются его главные аппаратные и программные компоненты.

    Т.е. проектирование целой системы с высокоуровневым ее представлением для проверки корректности архитектурных решений, делается, как правило, в тех случаях, когда разрабатывается принципиально новая система и необходимо тщательно проработать все архитектурные вопросы.

    Во многих случаях полное системное проектирование требует включения в структуру и неэлектрических компонентов и эффектов, с целью проверки их в едином комплексе моделирования.

    В качестве элементов этого уровня используются: процессор, память, контроллеры, шины. При построении моделей и моделировании системы здесь используются методы теории графов, теории множеств, теории Марковских процессов, теории массового обслуживания, а также логико-математические средства описания функционирования системы.

    На практике предусматривается построение параметризированной системной архитектуры и выбор оптимальных параметров ее конфигурации. Следовательно и соответствующие модели должны быть параметизированны. Параметры конфигурации архитектурной модели определяют, какие функции будут реализовываться аппаратными, а какие программными средствами. В качестве некоторых параметров конфигурации для аппаратных средств можно назвать:

    число, разрядность и пропускную способность шин системы;

    время доступа к памяти;

    размер кэш-памяти;

    число процессоров, портов, регистровых блоков;

    емкость буферов передачи данных.

    А к параметрам конфигурации программных средств относятся, например:

    параметры планировщика;

    приоритетность задач;

    интервал "удаления мусора";

    максимально допустимый интервал ЦП для программы;

    параметры подсистемы управления памятью (размер страницы, сегмента, а также распределение файлов по дисковым секторам;

    Параметры конфигурации средств передачи данных:

    величина интервала тайм-аута;

    размер фрагмента;

    протокольные параметры для обнаружения и исправления ошибок.

    Рис. 1

    При интерактивном проектировании на системном уровне вначале вводится функциональные спецификации системного уровня в виде диаграмм потоков данных, а также выбираются типы компонентов для реализации различных функций (рис. 1). Здесь главная задача заключается в том, что разработать такую системную архитектуру, которая будет удовлетворять заданным функциональным, скоростным и стоимостным требованиям. Ошибки на архитектурном уровне обходятся гораздо дороже, чем в решениях, принимаемых в процессе физической реализации.

    Архитектурные модели имеют важное значение и отражают логику поведения системы и временные ее особенности, что позволяет выявлять функциональные проблемы. Они обладают четырьмя важными особенностями:

    они точно представляют функциональные возможности аппаратных и программных компонентов с использованием высокоуровневых абстракций данных в виде потоков данных;

    архитектурные модели абстрактно представляют технологию реализации в виде временных параметров. Конкретную технологию реализации определяют конкретные значения этих параметров;

    архитектурные модели содержат схемы, позволяющие многим функциональным блокам разделять (коллективно использовать) компоненты;

    эти модели должны допускать параметризацию, типизацию и повторное использование;

    Моделирование на системном уровне позволяет разработчику оценить альтернативные варианты проектов системы с точки зрения соотношения их функциональных возможностей, показателей быстродействия и стоимости.

    Инструментальная система нисходящего проектирования (ASIC Navigator, компании Compass Disign Automation) для ASIC (спец. ИС) и систем.

    Попытка освободить инженеров от проектирование на вентильном уровне.

    Logic Assistant (ассистент по логике);

    Design Assistant;

    ASIC Synthesizez (синтезатор ASIC);

    Автоматизированным называют проектирование, осуществляемое человеком при взаимодействии с ЭВМ. Степень автоматизации может быть различной, и оценивается долей проектных работ, выполняемых на ЭВМ без участия человека. При=0 проектирование называется неавтоматизированным, при =1 – автоматическим.

    Система автоматизированного проектирования – организационно-техническая система, состоящая из комплекса средств автоматизации проектирования, взаимодействующего с подразделениями проектной организации и выполняющая автоматизированное проектирование.

    Разработка средств автоматизации проектирования сложных электронных систем преследует следующие цели:

    сокращение сроков и снижение стоимости разработки и внедрения изделий;

    уменьшение количества ошибок при проектировании;

    обеспечение возможности изменения проектных решений и сокращения сроков проверки и тестирования изделий.

    Задачи, решаемые на различных этапах проектирования, можно укрупненно разделить на три группы: синтез и анализ. Задача анализа заключается в изучении поведения и свойств системы при заданных характеристиках внешней среды, ее компонентов и структуре системы (или ее модели). Согласно общей теории систем, синтез - это процесс порождения функций и структур, необходимых и достаточных для получения определенных результатов. Выявляя функции, реализуемые системой, определяют некоторую систему, о которой известно только то, что она будет делать.

    В связи с этим, этап синтеза функций называется абстрактным синтезом. Существуют еще этапы структурного и параметрического синтеза. При структурном синтезе определяется структура объекта - множество составляющих его элементов и способы их связи между собой(в составе объекта и с внешней средой). Параметрический синтез заключается в определении числовых значений параметров элементов при заданных структуре и условиях работоспособности (т.е.необходимо найти точку или область в пространстве внутренних параметров, в которых выполняются те или иные условия).

    Разработка САПР представляет собой крупную научно-техническую проблему. Несмотря на большие трудозатраты (50-200 квалифицированных специалистов), создание интегрированных САРП в различных областях техники - необходимость, вызванная ростом сложности объектов проектирования. С учетом изложенного можно сформулировать основные требования, которым должны удовлетворять САПР:

    1. Иметь универсальную структуру, реализующую принципы декомпозиции и иерархичности (блочно-иерархический подход). Причем системы проектирования различных уровней иерархии должны быть информационно согласованы. Информационная согласованность означает, что для последовательного идущих проектных процедур, выходные данные одной из них могут быть входными для другой и при этом не требуется никаких преобразований.

    2. Иметь высокую степень интеграции. Степень интеграции должна быть такова, чтобы обеспечить реализацию всего пути проектирования: от выдвижения идеи вплоть до реализации проекта. Важную роль для обеспечения интеграции инструментальных средств проектирования играют так называемые инфраструктуры (frameworks), САПР, обеспечивающие как интегрирование различных средств проектирования и данных, так и выполнение функций управления при помощи единого интерфейса пользователя.

    3. Осуществлять проектирование в реальном масштабе времени. Уменьшение времени, необходимого для взаимодействия САПР с пользователем обеспечивается наличием оперативных технических средств взаимодействия разработчика с системой, эффективность процедур проектирования и т.п.

    4. Структура САПР должна быть открытой, т.е. обладать свойством удобства расширения подсистем при ее совершенствовании.

    5. Иметь средства контроля входной и выходной информации.

    6. Иметь средства автоматического внесения изменений в проект.

    2. Структура комплекса аппаратно-программных средств САПР

    Все аппаратно-программные средства, составляющие базовое обеспечение САПР, могут быть классифицированы по выполняемым функция:

    математическое обеспечение (МО);

    лингвистическое обеспечение (ЛО);

    программное обеспечение (ПО);

    техническое обеспечение (ТО);

    информационное обеспечение (ИО);

    организационное обеспечение (ОО);

    В МО входят: теория, методы, математические модели, алгоритмы, используемые при автоматизированном проектировании.

    ЛО представлено совокупностью языков, применяемых при автоматизированном проектировании. Основная часть ЛО - языки общения человека с ЭВМ.

    ПО - это совокупность машинных программ и соответствующая документация. Оно делится на общесистемное и прикладное. Компонентами общесистемного ПО являются, например, операционные системы, компиляторы и т.п. Эти программные средства предназначены для организации функционирования технических средств, т.е. для планирования и управления вычислительным процессом.

    Прикладное ПО создается для нужд САПР. Оно обычно представлено в форме пакетов прикладных программ (ППП), каждый из которых обслуживает определенный этап процесса проектирования.

    Компоненты ТО представляют собой совокупность взаимосвязанных и взаимодействующих технических средств (например, ЭВМ, средства передачи, ввода, отображения и документирования данных), предназначенных для автоматизированного проектирования.

    ИО объединяет данные, необходимые для автоматизированного проектирования. Они могут быть представлены в виде тех или иных документов на различных носителях, содержащих сведения справочного характера о параметрах объекта проектирования, промежуточных результатах и т. д.

    Основная часть ИО САПР - это банк данных (БНД), представляющий собой совокупность средств для централизованного накопления и коллективного использования данных в САПР. БНД состоит из базы данных (БД) и системы управления базой данных (СУБД). БД - сами данные, находящиеся в ЗУ ЭВМ и структурированные в соответствии с принятыми в данном БНД правилами. СУБД - совокупность программных средств, обеспечивающих функционирование БНД. С помощью СУБД осуществляется запись данных в БНД, их выборка по запросам пользователя и прикладных программ, и т.д.

    Процесс автоматизированного проектирования представляет собой последовательное взаимодействия большого числа программных модулей. Взаимодействие модулей проявляется в основном в связях по управлению (упорядоченные переходы от исполнения одного программного модуля к исполнению другого), и по информации (использование одних и тех же данных в различных модулях) (см. рис. 1 и 2).

    При проектировании сложных систем значительной является именно проблема информационного согласования различных программных модулей. Существует три основных способа реализации связей по информации:

    через передачу параметров из вызывающей программы в вызываемую программу;

    через общие области (обменные зоны) взаимодействующих модулей;

    через банк данных.

    Реализация информационных связей через передачу параметров означает, что передаются либо параметры, либо их адреса. Применяется при сравнительно небольшом объеме передаваемых данных и их простой структуре.

    Реализация информационных связей через обменную зону, каждый модуль должен направлять данные в обменную зону, представляя их в форме, допустимой с позиции требования любого из остальных модулей. Так как требования к структуре данных каждого модуля - потребителя данных могут оказаться различными, то способ связи через обменные зоны сравнительно легко реализуется только при малом и стабильном числе информационных связей. Применяются для программных модулей внутри определенного ППП.

    Если же одни и те же модули могут входить в различные проектные процедуры, взаимодействовать со многими модулями, то целесообразно унифицировать средства информационного обмена. Такая унификация осуществляется с помощью концепции БНД. Главная особенность информации, хранимой в БНД, заключается в ее структурированности. Основные преимущества информационного взаимодействия БНД заключаются в следующем:

    Снимаются ограничения на число обслуживаемых проектных процедур;

    Возможно развития и модификация программной системы;

    Возможна модификация модернизация технических средств для хранения данных без изменения ППП;

    Обеспечивается целостность данных.

    Однако реализация информационных связей через БНД данных имеет и свои недостатки, связанные главным образом со значительными затратами времени на поиск данных в БД.

    Рис. 1. Граф, отражающий связи по управлению.

    Рис. 2. Граф, отражающий связи по информации.

    Рис. 3. Реализация информационных связей через СУБД.

    3 . Состав САПР электронных систем

    Современная САПР представляет собой сложный программно-аппаратный комплекс, именуемый в научно-технической литературе как "рабочая станция" (PC).


    Рис. 3. Структура рабочей станции проектирования электронных систем.

    Рис. 4. Структура ПО САПР.

    4 . Иерархические уровни представления электронных устройств

    Основным методом проектирования с применением САПР является блочно-иерархический метод или метод декомпозиции сложного объекта на подсистемы (блоки, узлы, компоненты). В этом случае описание сложной системы разделяется на иерархические уровни (уровни абстрагирования) по степени подробности отражения свойств системы. На каждом уровне представления проекта существует свое понятие системы, подсистемы, элемента системы, закона функционирования элементов системы в целом и внешних воздействий.

    Именно эти понятия определяют тот либо иной уровень иерархии представления устройства. Подсистема - это часть системы, которая представляет собой совокупность некоторых ее элементов, выделенных по определенному функциональному признаку, и подчиняется по своей цели функционирования единой цели функционирования всей системы. Под элементом системы понимают ее часть, выполняющую определенную функцию (функции) и не подлежащую декомпозиции при данном уровне рассмотрения. Неделимость элемента - это понятие, но не физическое свойство этого элемента. Оперируя понятием элемент проектировщик оставляет за собой право перейти на другой уровень на основании части или объединив несколько элементов в один.

    На верхнем иерархическом уровне рассматривается весь сложный объект как совокупность взаимодействующих подсистем. На следующем иерархическом уровне подсистемы рассматриваются отдельно как системы, состоящие из некоторых составных частей (элементов), и имеют большую подробность описания. Данный иерархический уровень является уровнем подсистем. Количество уровней иерархии всегда ограничено. Уровни характеризуются тем, что множество типов элементов, из которых может быть составлена подсистема проектирования, ограничено. Такое множество называется базисом уровня.

    Метод декомпозиции порождает серьезные проблемы при создании САПР:

    определение уровней иерархии и базисов для них;

    разработка математического обеспечения;

    отображение из одного базиса в другой и др.

    Метод иерархического представления проектируемого объекта, используемый разработчиками электронных схем и систем, может базироваться на двух способах представления (описания) элементов: структурном и поведенческом.

    Структурный способ предусматривает описание элемента системы как совокупности взаимосвязанных элементов более низкого уровня, тем самым определяя базис этого уровня. Структурная форма иерархии проекта подразумевает процесс декомпозиции или разбиения проекта так, что на любом уровне, который выбирается для моделирования, модель системы строится как совокупность взаимосвязанных элементов, определенных для данного уровня. Здесь сразу же возникает вопрос: каким образом определяются эти элементы? Чаще всего они формируются с использованием элементов следующего, более низкого уровня. Таким образом, как показано на рис. 5, проект может быть представлен в виде дерева, причем различным уровням иерархии абстракций соответствуют свои уровни этого дерева. На уровне листьев дерева определяется поведение элементов проекта самого низкого уровня. Поведенческий способ предусматривает описание элемента системы по зависимостям вход/выход при помощи некоторой процедуры. Причем это описание определяется некоторой собственной процедурой, а не описывается с использованием других элементов. Поэтому поведенческая модель используется для описания элементов уровня листьев дерева проекта. Поскольку поведенческая модель некоторого проекта может существовать на любом уровне, различные части проекта могут иметь поведенческие описания на разных уровнях.


    Рис. 5. Проект, представленный в виде полного (а) и неполного (б) дерева.

    На рис. 5 (а) показано "полное" дерево проекта, где все поведенческое описание формируется на одном и том же уровне. На рис 5 (б) показан проект, представленный в форме неполного дерева, где поведенческие описания относятся к различным уровням. Подобная ситуация возникает потому, что разработчику зачастую желательно строить и анализировать взаимосвязи между системными компонентами еще до завершения проектирования. Таким образом, не обязательно иметь спецификации всех системных компонентов, например, на уровне логических вентилей, чтобы получить возможность контроля проекта в целом на отсутствие ошибок. Такой контроль осуществляется при помощи многоуровневого моделирования, то есть моделирования, при котором поведенческие описания моделей компонентов относятся к различным уровням иерархии. Важным дополнительным достоинством подобного подхода является то, что он способствует повышению эффективности моделирования.

    C точки зрения разработчика аппаратных средств можно выделить шесть основных уровней иерархии, представленных на рис. 6.


    Рис. 6. Уровни иерархии представления электронных систем.

    Это системным, микросхемный (или ИС), регистровый, вентильный, схемный и топологический уровни. Из рисунка видно, что иерархия уровней представления имеет форму усеченной пирамиды. Расширение пирамиды книзу отображает увеличение степени детализации, т.е. количества элементов, которые необходимо учитывать при описании проектируемого устройства на этом уровне.

    В табл. 1 приведена характеристика уровней - указываются элементы структуры и поведенческое представление для каждого уровня.

    Таблица 1.Иерархия моделей

    Уровень Структурные примитивы Формальный аппарат для поведенческого представления
    Системный Центральные процессоры, коммутаторы, каналы, шины, запоминающие устройства и др. Системный анализ, теория игр, теория массового обслуживания и др.
    Микросхемный Микропроцессоры, ЗУПВ, ПЗУ, УАПП, и др. Входные-выходные зависимости, ГСА
    Регистровый Регистры, АЛУ, счетчики, мультиплексоры, дешифраторы Теория цифровых автоматов, таблицы истинности, ГСА
    Вентильный Логические вентили, триггеры Алгебра логики, системы логических уравнений
    Схемный Транзисторы, диоды, резисторы, конденсаторы Теория электрических цепей, системы линейных, нелинейных, дифференциальных уравнений
    Кремниевый Геометрические объекты нет

    На самом нижнем уровне, кремниевом, в качестве базовых примитивов используются геометрические формы, которые представляют области диффузии, поликремния и металлизации на поверхности кремниевого кристалла. Соединение этих форм как бы имитирует процесс изготовления кристалла с точки зрения разработчика. Здесь представление только чисто структурное(не поведенческое).

    На следующем, более высоком уровне, схемном, представление проекта формируется с использованием межсоединений традиционных активных и пассивных элементов электрической схемы: резисторов, конденсаторов и биполярных и МОП-транзисторов. Соединение этих компонентов используется для моделирования поведения электрической схемы, выражаемого с помощью зависимостей между напряжениями и токами.Для поведенческого описания на этом уровне можно использовать дифференциальные уравнения.

    Третий уровень-уровень логических вентилей, традиционно играет основную роль при проектировании цифровых схем и систем. Здесь используются такие базовые элементы, как логические вентили И, ИЛИ и НЕ и различные типы триггеров. Соединение этих примитивов позволяет обрабатывать комбинационные и последовательностные логические схемы. Формальный аппарат для поведенческого описания на этом уровне- булева алгебра.

    Выше вентильного уровня в иерархии находится регистровый уровень. Здесь базовые элементы - это такие компоненты, как регистры, счетчики, мультиплексоры и арифметико-логические устройства (АЛУ). Поведенческое представление проекта на регистровом уровне возможно с использованием таблиц истинности, таблиц состояний и языков регистровых передач.

    Над регистровым уровнем находится уровень микросхем (или ИС). На микросхемном уровне в качестве элементов выступают такие компоненты, как микропроцессоры, устройства основной памяти, последовательные и параллельные порты и контроллеры прерываний. Хотя границы микросхем являются и границами моделей элементов, возможны и другие ситуации. Так, набор микросхем, которые совместно образуют одно функциональное устройство, можно представить как один элемент. Показательным примером здесь может служить моделирование разрядно - модульного процессора. Возможен и альтернативный вариант - когда элементы представляют отдельные секции одной микросхемы, например, на этапе анализа технического задания и декомпозиции. Главной особенностью здесь является то, что элементом представляется большой блок логики, где для длинных и зачастую сходящихся трактов обработки данных необходимо представлять зависимости выходов от входов. Как и в случае элементов нижележащих уровней, элементы микросхемного уровня не строятся иерархически из более простых примитивов, а представляют собой единые объекты-модели. Так, если нужно моделировать последовательный порт ввода-вывода (универсальный асинхронный приемопередатчик, УАПП), соответствующую модель не строят путем соединения более простых функциональных моделей таких блоков, как регистры и счетчики, здесь сам УАПП становится базовой моделью. Модели такого типа важны для изготовителей комплексного оборудования, которые приобретают микросхемы у других фирм-изготовителей, но не знают их внутренней структуры уровня логических вентилей, поскольку это является обычно секретом фирмы. Поведенческое описание модели микросхемного уровня строится на основе входной-выходной зависимости каждой конкретной ИС-алгоритма,реализуемого данной ИС. Верхний уровень - это системный уровень. В качестве элементов этого уровня используются процессор, память и коммутатор (шина) и др. Поведенческое описание на этом уровне включает такие основные данные и характеристики, как, например, показатель быстродействия процессора в миллионах команд в секунду (мегофлопсы) или пропускная способность тракта обработки данных (бит/с). Из табл. 1 и вышеизложенного видно, что структурные или поведенческие характеристики соседних уровней в определенной степени перекрываются. Например, и на регистровом и на микросхемном уровне может использоваться представление при помощи ГСА. Однако структурное представление для обоих уровней совершенно различно, поэтому они и разделяются. Микросхемный и системный уровень имеют по сути одни и те же элементы, однако они абсолютно различны по своим поведенческим характеристикам. Так, поведенческие модели уровня ИС позволяют вычислять детальные отдельные реакции в виде значений целых чисел и битов. А поведенческому представлению системного уровня свойственно серьезное ограничение - оно служит преимущественно для моделирования пропускной способности системы или определения стохастических параметров системы. На практике представление проекта на системном уровне используется главным образом для сравнительной оценки различных архитектур. В общем, следует использовать модели разного уровня, если требования либо поведенческого, либо структурного характера различны.

    Последнее понятие, связанное с иерархическим представлением проекта, - это так называемое окно проекта.

    Этим термином обозначается группа уровней дерева проекта, с которыми работает каждый конкретный разработчик. Так, окно проекта для разработки СБИС охватывает кремниевый, схемный, вентильный, регистровый и микросхемный уровни. Разработчика вычислительной машины, с другой стороны, обычно интересует окно, охватывающее вентильный, регистровый, микросхемный и системный уровни. Именно концепция окна проекта является основой для многоуровневого проектирования. С ростом сложности СБИС станет нецелесообразно включать вентильный уровень в окно проекта, поскольку на одном кристалле можно будет разместить сотни тысяч логических вентилей. Регистровый уровень, хотя он безусловно имеет меньшую сложность, чем вентильный, может также содержать необязательные подробности для тех, кого интересуют только сигналы ввода-вывода СБИС.

    Таким образом, с точки зрения разработчика машины сама СБИС будет становиться элементом проекта.

    Рис. 7. Пример реализации уровней представления мультипроцессорной системы.

    Аннотация: В лекции приводятся основные определения, назначение и принципы систем автоматизированного проектирования (САПР). Даются сущность и схема функционирования САПР. Показано место САПР РЭС среди других автоматизированных систем. Рассматриваются структура и разновидности САПР. Основное назначение лекции - показать сущность процесса проектирования РЭС, основные принципы проектирования. Особенное внимание уделяется системному подходу к проектированию конструкции и технологии производства РЭС

    4.1. Определение, назначение, цель

    По определению, САПР - это организационно-техническая система, состоящая из совокупности комплекса средств автоматизации проектирования и коллектива специалистов подразделений проектной организации , выполняющая автоматизированное проектирование объекта , которое является результатом деятельности проектной организации [ , ].

    Из этого определения следует, что САПР - это не средство автоматизации, а система деятельности людей по проектированию объектов . Поэтому автоматизация проектирования как научно-техническая дисциплина отличается от обычного использования ЭВМ в процессах проектирования тем, что в ней рассматриваются вопросы построения системы, а не совокупность отдельных задач. Эта дисциплина является методологической, поскольку она обобщает черты, являющиеся общими для разных конкретных приложений .

    Идеальная схема функционирования САПР представлена на рис. 4.1 .


    Рис. 4.1.

    Эта схема идеальна в смысле полного соответствия формулировке согласно существующим стандартам и несоответствия реально действующим системам, в которых далеко не все проектные работы выполняются с помощью средств автоматизации и не все проектировщики пользуются этими средствами.

    Проектировщики, как следует из определения, относятся к САПР . Это утверждение вполне правомерно, т. к. САПР - это система автоматизированного, а не автоматического проектирования. Это значит, что часть операций проектирования может и всегда будет выполняться человеком. При этом в более совершенных системах доля работ , выполняемых человеком, будет меньше, но содержание этих работ будет более творческим, а роль человека в большинстве случаев - более ответственной.

    Из определения САПР следует, что целью ее функционирования является проектирование. Как уже было сказано, проектирование - это процесс переработки информации, приводящий в конечном счете к получению полного представления о проектируемом объекте и способах его изготовления .

    В практике неавтоматизированного проектирования полное описание проектируемого объекта и способов его изготовления содержит проект изделия и техническую документацию. Для условия автоматизированного проектирования еще не узаконено названия конечного продукта проектирования, содержащего данные об объекте , и технологии его создания. На практике его называют по -прежнему "проектом".

    Проектирование - это один из наиболее сложных видов интеллектуальной работы, выполняемой человеком. Более того, процесс проектирования сложных объектов не под силу одному человеку и выполняется творческим коллективом. Это, в свою очередь , делает процесс проектирования еще более сложным и трудно поддающимся формализации. Для автоматизации такого процесса необходимо четко знать, что в действительности он собой представляет и как выполняется разработчиками. Опыт свидетельствует, что изучение процессов проектирования и их формализация давались специалистам с большим трудом, поэтому автоматизация проектирования всюду осуществлялась поэтапно, охватывая последовательно все новые проектные операции . Соответственно, поэтапно создавались новые и совершенствовались старые системы. Чем на большее число частей разбита система, тем труднее правильно сформулировать исходные данные для каждой части, но тем легче провести оптимизацию.

    Объектом автоматизации проектирования являются работы, действия человека, которые он выполняет в процессе проектирования. А то, что проектируют, называют объектом проектирования .

    Человек может проектировать дом, машину, технологический процесс , промышленное изделие. Такие же объекты призвана проектировать САПР . При этом разделяют САПР изделия ( САПР И) и САПР технологических процессов (САПР ТП ).

    Следовательно, объекты проектирования не являются объектами автоматизации проектирования . В производственной практике объектом автоматизации проектирования является вся совокупность действий проектировщиков, разрабатывающих изделие или технологический процесс , или то и другое, и оформляющих результаты разработок в виде конструкторской, технологической и эксплуатационной документаций.

    Разделив весь процесс проектирования на этапы и операции , можно описать их с помощью определенных математических методов и определить инструментальные средства для их автоматизации. Затем необходимо рассмотреть выделенные проектные операции и средства автоматизации в комплексе и найти способы сопряжения их в единую систему, отвечающую поставленным целям.

    При проектировании сложного объекта различные проектные операции многократно повторяются. Это связано с тем, что проектирование представляет собой закономерно развивающийся процесс. Начинается он с выработки общей концепции проектируемого объекта , на ее основе - эскизного проекта . Далее приближенные решения (прикидки) эскизного проекта уточняются на всех последующих стадиях проектирования. В целом такой процесс можно представить в виде спирали. На нижнем витке спирали находится концепция проектируемого объекта , на верхнем - окончательные данные о спроектированном объекте . На каждом витке спирали выполняют, с точки зрения технологии обработки информации, идентичные операции , но в увеличивающемся объеме. Следовательно, инструментальные средства автоматизации повторяющихся операций могут быть одни и те же.

    Практически решить в полном объеме задачу формализации всего процесса проектирования очень сложно, однако если будет автоматизирована хотя бы часть проектных операций, это себя все равно оправдает, т. к. позволит в дальнейшем развивать созданную САПР на основе более совершенных технических решений и с меньшими затратами ресурсов.

    В целом для всех этапов проектирования изделий и технологии их изготовления можно выделить следующие основные виды типовых операций обработки информации:

    • поиск и выбор из всевозможных источников нужной информации;
    • анализ выбранной информации;
    • выполнение расчетов;
    • принятие проектных решений;
    • оформление проектных решений в виде, удобном для дальнейшего использования (на последующих стадиях проектирования, при изготовлении или эксплуатации изделия).

    Автоматизация перечисленных операций обработки информации и процессов управления использованием информации на всех стадиях проектирования составляет сущность функционирования современных САПР .

    Каковы основные черты систем автоматизированного проектирования и их принципиальные отличия от "позадачных" методов автоматизации?

    Первой характерной особенностью является возможность комплексного решения общей задачи проектирования, установления тесной связи между частными задачами, т. е. возможность интенсивного обмена информацией и взаимодействие не только отдельных процедур, но и этапов проектирования. Например, применительно к техническому (конструкторскому) этапу проектирования САПР РЭС позволяет решать задачи компоновки, размещения и трассировки в тесной взаимосвязи, которая должна быть заложена в технических и программных средствах системы.

    Применительно к системам более высокого уровня можно говорить об установлении тесной информационной связи между схемотехническим и техническим этапами проектирования. Такие системы позволяют создавать радиоэлектронные средства, более эффективные с точки зрения комплекса функциональных и конструкторско-технологических требований.

    Вторым отличием САПР РЭС является интерактивный режим проектирования, при котором осуществляется непрерывный процесс диалога "человек-машина". Сколь ни сложны и изощренны формальные методы проектирования, сколь ни велика мощность вычислительных средств, невозможно создать сложную аппаратуру без творческого участия человека. Системы автоматизации проектирования по своему замыслу должны не заменять конструктора, а выступать мощным инструментом его творческой деятельности.

    Третья особенность САПР РЭС заключается в возможности имитационного моделирования радиоэлектронных систем в условиях работы, близких к реальным. Имитационное моделирование дает возможность предвидеть реакцию проектируемого объекта на самые различные возмущения, позволяет конструктору "видеть" плоды своего труда в действии без макетирования. Ценность этой особенности САПР заключается в том, что в большинстве случаев крайне трудно сформулировать системный критерий эффективности РЭС. Эффективность связана с большим числом требований различного характера и зависит от большого числа параметров РЭС и внешних факторов. Поэтому в сложных задачах проектирования практически невозможно формализовать процедуру поиска оптимального по критерию комплексной эффективности решения. Имитационное моделирование позволяет провести испытания различных вариантов решения и выбрать лучший, причем сделать это быстро и учесть всевозможные факторы и возмущения.

    Четвертая особенность заключается в значительном усложнении программного и информационного обеспечения проектирования. Речь идет не только о количественном, объемном увеличении, но и об идеологическом усложнении, которое связано с необходимостью создания языков общения проектировщика и ЭВМ, развитых банков данных, программ информационного обмена между составными частями системы, программ проектирования. В результате проектирования создаются новые, более совершенные РЭС, отличающиеся от своих аналогов и прототипов более высокой эффективностью за счет использования новых физических явлений и принципов функционирования, более совершенной элементной базы и структуры, улучшенных конструкций и прогрессивных технологических процессов.

    4.2. Принципы создания систем автоматизированного проектирования конструкции и технологии

    При создании САПР руководствуются следующими общесистемными принципами:

    1. Принцип включения состоит в том, что требования к созданию, функционированию и развитию САПР определяются со стороны более сложной системы, включающей в себя САПР в качестве подсистемы. Такой сложной системой может быть, например, комплексная система АСНИ - САПР - АСУТП предприятия, САПР отрасли и т. п.
    2. Принцип системного единства предусматривает обеспечение целостности САПР за счет связи между ее подсистемами и функционирования подсистемы управления САПР.
    3. Принцип комплексности требует связности проектирования отдельных элементов и всего объекта в целом на всех стадиях проектирования.
    4. Принцип информационного единства предопределяет информационную согласованность отдельных подсистем и компонентов САПР. Это означает, что в средствах обеспечения компонентов САПР должны использоваться единые термины, символы, условные обозначения, проблемно-ориентированные языки программирования и способы представления информации, которые обычно устанавливаются соответствующими нормативными документами. Принцип информационного единства предусматривает, в частности, размещение всех файлов, используемых многократно при проектировании различных объектов , в банках данных. За счет информационного единства результаты решения одной задачи в САПР без какой-либо перекомпоновки или переработки полученных массивов данных могут быть использованы в качестве исходной информации для других задач проектирования.
    5. Принцип совместимости состоит в том, что языки, коды, информационные и технические характеристики структурных связей между подсистемами и компонентами САПР должны быть согласованы так, чтобы обеспечить совместное функционирование всех подсистем и сохранить открытую структуру САПР в целом. Так, введение каких-либо новых технических или программных средств в САПР не должно приводить к каким-либо изменениям уже эксплуатируемых средств.
    6. Принцип инвариантности предусматривает, что подсистемы и компоненты САПР должны быть по возможности универсальными или типовыми, т. е. инвариантными к проектируемым объектам и отраслевой специфике. Применительно ко всем компонентам САПР это, конечно, невозможно. Однако многие компоненты, например программы оптимизации, обработки массивов данных и другие, могут быть сделаны одинаковыми для разных технических объектов.
    7. В результате проектирования создаются новые, более совершенные РЭС, отличающиеся от своих аналогов и прототипов более высокой эффективностью за счет использования новых физических явлений и принципов.

    Контрольная работа по теме:

    Этапы проектирования электронных систем

    Проектное решение - промежуточное описание проектируемого объекта, полученное на том или ином иерархическом уровне, как результат выполнения процедуры (соответствующего уровня).

    Проектная процедура - составная часть процесса проектирования. Примерами проектных процедур служат синтез функциональной схемы проектируемого устройства, моделирование, верификация, трассировка межсоединений на печатной плате и т.д.

    Проектирование ЭУ разделяется на этапы. Этап представляет собой определенную последовательность проектных процедур. Общая последовательность этапов проектирования представляется так:

    ·составление ТЗ;

    ·ввод проекта;

    ·проектирование архитектуры;

    ·функционольно-логическое проектирование;

    ·схемотехническое проектирование;

    ·топологическое проектирование;

    ·изготовление опытного образца;

    ·определение характеристик устройства.

    Составление ТЗ. Определяются требования к проектируемому изделию, его характеристики и формируется техническое задание на проектирование.

    Ввод проекта. Для каждого этапа проектирования характерны свои средства ввода, более того, во многих инструментальных системах предусматривают более чем один способ описания проекта.

    Эффективными являются высокоуровневые графические и текстовые редакторы описания проекта современных систем проектирования. Такие редакторы дают разработчику возможность чертить блок - схему крупной системы, назначать модели для индивидуальных блоков и соединять последние посредством шин и трактов передачи сигналов. Редакторы, как правило, автоматически связывают текстовые описания блоков и соединений с соответствующими графическими изображениями, обеспечивая тем самым комплексное моделирование системы. Это позволяет инженерам системотехника не менять привычного стиля работы: можно по - прежнему думать, набрасывая блок-схему своего проекта как бы на листе бумаги, в то же время будет вводится и накапливаться точная информация о системе.

    Логические уравнения или принципиальные электрические схемы зачастую очень удачно используются для описания базовой интерфейсной стыковочной логике.

    Таблицы истинности целесообразные для описания дешифраторов или других простых логических блоков.

    Языки описания аппаратуры, содержащие конструкции типа конечных автоматов, обычно гораздо эффективнее для представления более сложных логических функциональных блоков, например блоков управления.

    Проектирование архитектуры. Представляет собой проектирование ЭУ до уровня передачи сигналов ЦП и ЗУ, ЗУ и КПДП. На этом этапе определяется состав устройства в целом, определяются его главные аппаратные и программные компоненты.

    Т.е. проектирование целой системы с высокоуровневым ее представлением для проверки корректности архитектурных решений, делается, как правило, в тех случаях, когда разрабатывается принципиально новая система и необходимо тщательно проработать все архитектурные вопросы.

    Во многих случаях полное системное проектирование требует включения в структуру и неэлектрических компонентов и эффектов, с целью проверки их в едином комплексе моделирования.

    В качестве элементов этого уровня используются: процессор, память, контроллеры, шины. При построении моделей и моделировании системы здесь используются методы теории графов, теории множеств, теории Марковских процессов, теории массового обслуживания, а также логико-математические средства описания функционирования системы.

    На практике предусматривается построение параметризированной системной архитектуры и выбор оптимальных параметров ее конфигурации. Следовательно и соответствующие модели должны быть параметизированны. Параметры конфигурации архитектурной модели определяют, какие функции будут реализовываться аппаратными, а какие программными средствами. В качестве некоторых параметров конфигурации для аппаратных средств можно назвать:

    ·число, разрядность и пропускную способность шин системы;

    ·время доступа к памяти;

    ·размер кэш-памяти;

    ·число процессоров, портов, регистровых блоков;

    ·емкость буферов передачи данных.

    А к параметрам конфигурации программных средств относятся, например:

    ·параметры планировщика;

    ·приоритетность задач;

    ·интервал "удаления мусора";

    ·максимально допустимый интервал ЦП для программы;

    ·параметры подсистемы управления памятью (размер страницы, сегмента, а также распределение файлов по дисковым секторам;

    Параметры конфигурации средств передачи данных:

    ·величина интервала тайм-аута;

    ·размер фрагмента;

    ·протокольные параметры для обнаружения и исправления ошибок.

    Рис. 1 - Последовательность проектных процедур архитектурного этапа проектирования


    При интерактивном проектировании на системном уровне вначале вводится функциональные спецификации системного уровня в виде диаграмм потоков данных, а также выбираются типы компонентов для реализации различных функций (рис. 1). Здесь главная задача заключается в том, что разработать такую системную архитектуру, которая будет удовлетворять заданным функциональным, скоростным и стоимостным требованиям. Ошибки на архитектурном уровне обходятся гораздо дороже, чем в решениях, принимаемых в процессе физической реализации.

    Архитектурные модели имеют важное значение и отражают логику поведения системы и временные ее особенности, что позволяет выявлять функциональные проблемы. Они обладают четырьмя важными особенностями:

    ·они точно представляют функциональные возможности аппаратных и программных компонентов с использованием высокоуровневых абстракций данных в виде потоков данных;

    ·архитектурные модели абстрактно представляют технологию реализации в виде временных параметров. Конкретную технологию реализации определяют конкретные значения этих параметров;

    ·архитектурные модели содержат схемы, позволяющие многим функциональным блокам разделять (коллективно использовать) компоненты;

    ·эти модели должны допускать параметризацию, типизацию и повторное использование;

    Моделирование на системном уровне позволяет разработчику оценить альтернативные варианты проектов системы с точки зрения соотношения их функциональных возможностей, показателей быстродействия и стоимости.

    Инструментальная система нисходящего проектирования (ASIC Navigator, компании Compass Disign Automation) для ASIC (спец. ИС) и систем.

    Попытка освободить инженеров от проектирование на вентильном уровне.

    ·Logic Assistant (ассистент по логике);

    ·Design Assistant;

    ·ASIC Synthesizez (синтезатор ASIC);

    ·Test Assistant;

    Это унифицированная среда проектирования и анализа. Позволяет создать спецификацию ASIC, вводя графические и текстовые описания своих проектов. Пользователи могут описывать свои проекты при помощи большинства способов высокоуровневого ввода, в том числе блок-схем, булевых формул, диаграмм состояния, операторов языка VHDL и Verilog и т.д. Программные средства системы будут поддерживать эти способы ввода как основу всего последующего процесса проектирования ASIC-системы.

    Общую архитектуру проектируемой ASIC можно представить в виде взаимосвязанных функциональных блоков без учета их физического разбиения. Эти блоки можно затем описывать способом, наиболее соответствующим особенностям каждой функции. Например, пользователь может описывать логику управления при помощи диаграмм состояния, арифметические функциональные блоки - при помощи схем трактов обработки данных, а алгоритмические функции на языке VHDL. Окончательное описание может быть комбинацией как текстовых, так и графических материалов и служит основой для анализа и реализации ASIC.

    Подсистема Logic Assistant преобразует затеи полученную спецификацию в поведенческий код языка VHDL. Этот код может быть обработан при помощи системы моделирования на языке VHDL, разработанной третьей фирмой. Модифицирование спецификации на поведенческом уровне, дает возможность вносить изменения и производить отладку на начальных этапах проектирования.

    Disign Assistant

    После того, как спецификация проверена, ее можно отобразить на ASIC-приборе. Вначале, однако, пользователь должен решить, каким образом лучше всего реализовать такой высокоуровневый проект. Описание проекта можно отобразить на одну или несколько вентильных матриц или ИС на базе стандартных элементов.

    Dising Assistant помогает пользователям оценивать разнообразные варианты, чтобы добиться оптимальной реализации. D.A. по указанию пользователя определяет оценочный размер кристалла, возможные способы корпусирования, мощность потребления и расчетное количество логических вентилей для каждого варианта декомпозиции и для каждого вида ASIC.

    Пользователь может затем в интерактивном режиме производить анализ по принципу "что-если", исследовать альтернативные технические решения с разными вариантами декомпозиции проекта или компоновать и перемещать стандартные элементы для случая вентильных матриц. Таким образом пользователь может найти оптимальный подход, удовлетворяющий требованиям спецификации.

    ASIC Synthesizer

    После того, как конкретный вариант проекта выбран, его поведенческое описание необходимо преобразовать в представление уровня логических вентилей. Эта процедура является весьма трудоемкой.

    На вентильном уровне в качестве структурных элементов могут быть выбраны: логические вентили, триггера, а в качестве средств описания - таблицы истинности, логические уравнения. При использовании регистрового уровня, структурными элементами будут: регистры, сумматоры, счетчики, мультиплексоры, а средства описания - таблицы истинности, языки микроопераций, таблицы переходов.

    Большое распространение на функционально- логическом уровне получили так называемые логические имитационные модели или просто имитационные модели (ИМ). ИМ отражают только внешнюю логику и временные особенности функционирования проектируемого устройства. Как правило, в ИМ внутренние операции и внутренняя структура не должны быть похожи на те, которые существуют в реальном устройстве. Но моделируемые операции и временные особенности функционирования, в том виде как они внешне наблюдаются, в ИМ должны быть адекватны тем, которые существуют в реальном устройстве.

    Модели этого этапа используются для проверки правильности реализации заданных алгоритмов функционирования функциональной или логической схемы, а также временных диаграмм устройства без конкретной аппаратной реализации и учета особенностей элементной базы.

    Это осуществляется методами логического моделирования. Под логическим моделированием подразумевается имитация на ЭВМ работы функциональной схемы в смысле продвижения информации, представленной в виде логических значений "0" и "1" от входа схемы к ее выходу. Проверка функционирования логической схемы включает как проверку реализуемых схемой логических функций, так и проверку временных соотношений (наличие критических путей, рисков сбоя и состязания сигналов). Основные задачи, решаемые с помощью моделей этого уровня, - верификация функциональных и принципиальных схем, анализ диагностических тестов.

    Схемотехническое проектирование - это процесс разработки принципиальных электрических схем, спецификаций в соответствии с требованиями технического задания. Проектируемые устройства могут быть: аналоговые (генераторы, усилители, фильтры, модуляторы т др.), цифровые (разнообразные логические схемы), смешанные (аналогово-цифровые).

    На этапе схемотехнического проектирования электронные устройства представляются на схемном уровне. Элементами этого уровня являются активные и пассивные компоненты: резистор, конденсатор, катушка индуктивности, транзисторы, диоды и т.д. В качестве элемента схемного уровня может быть использован и типовой фрагмент схемы (вентиль, триггер и т.д.). Электронная схема проектируемого представляет собой соединение идеальных компонентов, достаточно точно отображающее структуру и элементный состав проектируемого изделия. Предполагается, что идеальные компоненты схемы допускают математическое описание с заданными параметрами и характеристиками. Математическая модель компонента электронной схемы представляет собой ОДУ относительно переменных: тока и напряжения. Математическая модель устройства представляются совокупностью алгебраических или дифференциальных уравнений, выражающих зависимости между токами и напряжениями в различных компонентах схемы. Математические модели типовых фрагментов схемы называют макромоделями.

    Этап схемотехнического проектирования включает следующие проектные процедуры:

    ·структурный синтез- построение эквивалентной схемы проектируемого устройства

    ·расчет статических характеристик предполагает определение токов и напряжений в любом узле схемы; анализ вольтамперных характеристик и исследование влияния параметров компонентов на них.

    ·расчет динамических характеристик заключается в определении выходных параметров схемы в зависимости от изменения внутренних и внешних параметров (одновариантный анализ), а также в оценке чувствительности и степени разброса относительно номинальных значений выходных параметров в зависимости от входных и внешних параметров электронной схемы (многовариантный анализ).

    ·параметрическая оптимизация, определяющая такие значения внутренних параметров электронной схемы, которые оптимизируют выходные параметры.

    Различают нисходящее (сверху вниз) и восходящее (снизу вверх) проектирование. При нисходящем проектировании выполняются прежде этапы использующие высокие уровни представления устройств, чем этапы использующие более низкие иерархические уровни. При восходящем проектировании последовательность противоположная.

    При рассмотрении дерева проекта можно указать на две концепции проектирования: восходящее проектирование (снизу вверх) и нисходящее (сверху вниз). Здесь словом "верх" обозначается корень дерева, а слово "низ" относится к листьям. При нисходящем проектировании работу можно начинать уже тогда, когда разработчику уже известны только функции корня, - и он (или она) производит, прежде всего, разбиение корня на некоторое множество примитивов нижележащего уровня.

    После этого разработчик переходит к работе с нижележащим уровнем и осуществляет разбиение примитивов данного уровня. Подобный процесс продолжается до тех пор, пока дело не дойдет до узлов-листьев проекта. Для характеристики нисходящего проектирования важно отметить то, что разбиение на каждом уровне оптимизируется согласно тому или иному объективному критерию. Здесь разбиение не связывается рамками того, "что уже имеется".

    Термин "восходящее проектирование" не совсем правилен в том смысле, что процесс проектирования по прежнему начинается с определения корня дерева, однако в этом случае разбиение осуществляется с учетом того, какие компоненты уже имеются и могут использоваться в качестве примитивов; другими словами, разработчику при разбиении приходится исходить из того, какие составные части будут представляться в узлах-листьях. Эти самые "нижние" части будут проектироваться в первую очередь. Нисходящее проектирование кажется самым подходящим подходом, однако его слабость в том, что получаемые компоненты не являются "стандартными", вследствие чего стоимость проекта увеличивается. Поэтому наиболее рациональным представляется сочетание методов восходящего и нисходящего проектирования.

    Согласно прогнозам подавляющее большинство инженеров-разработчиков средств электронной и вычислительной техники будут пользоваться нисходящей методологией. Они станут, по сути, инженерами-системотехниками, причем значительную часть своего времени будут затрачивать на проектирование изделий на поведенческом уровне.

    В настоящее время проектирование электронных систем осуществляется по восходящей методологии, причем первым этапом процесса проектирования является обычно ввод описания схемы на структурном уровне (очевидно, на уровне ИС и дискретных компонентов). После определения структуры вводится описание поведения этой системы на том или ином языке описания этой аппаратуры и осуществляется модулирование. В этом случае электронная часть проекта выполняется вручную, то есть без применения инструментальных средств проектирования.

    Усложнение проектируемых систем приводит к тому, что разработчики практически теряют возможность интуитивно анализировать проект, то есть оценивать качество и характеристики спецификации проекта системы. А моделирование на системном уровне с использованием архитектурных моделей (как первый этап процесса нисходящего проектирования) представляет такую возможность.

    В случае нисходящего проектирования, описанные выше два этапа восходящего проектирования, выполняются в обратном порядке. При нисходящем проектировании основное внимание уделяется поведенческому представлению разрабатываемой системы, а не ее физическому или структурному представлению. Естественно, что конечный результат нисходящего проектирования также представляет собой структурное или схемное представление проекта.

    Здесь дело в том, что для нисходящего проектирования необходимы системные архитектурные модели, а для восходящего - структурные модели.

    Преимущества (для всех САПР):

    1) Методология нисходящего проектирования служит предпосылкой для параллельного проектирования: координированной разработки аппаратных и программных подсистем.

    2) Внедрению метода нисходящего проектирования способствуют средства логического синтеза. Эти средства обеспечивают преобразование логических формул в физически реализуемые описания уровня логических вентилей.

    Благодаря этому:

    ·упрощается физическая реализация

    ·эффективно используется время проектирования

    ·эффективно используются технологические шаблоны

    Однако для сложных проектов, масштабы которых выражаются несколькими сотнями тысяч логических вентилей, желательно иметь возможность глобальной оптимизации благодаря моделированию и анализу на системном уровне.

    3) Методология нисходящего проектирования базируется на том, что автоматически создается спецификация проекта по исходным функциональным требованиям. Именно функциональные требования являются исходным компонентом при проектировании сложных систем. Благодаря этому подобный подход позволяет уменьшить вероятность неработоспособной системы. Во многих случаях неработоспособность проектируемой системы вызывается несоответствием между функциональными требованиями и спецификациями проекта.

    4) Еще одним потенциальным преимуществом нисходящего проектирования является то, что оно позволяет разрабатывать эффективные тесты для верификации и аттестации проекта, а также тест-векторы для контроля изготовленных изделий.

    5) Результаты моделирования на системном уровне могут послужить основой для количественной оценки проекта уже на начальных стадиях проектирования. На более поздних этапах для верификации и аттестации проекта необходимо моделирование на уровне логических вентилей. Однородная среда проектирования позволит сравнить результаты моделирования, получаемые на первых и на последующих этапах проектирования.

    Похожие рефераты:

    Исходные данные, общая структура и основные этапы проектирования системы технического зрения. Рассмотрение функций и его реализация на базе однокристального микропроцессора КР1810. Разработка аппаратных средств и расчет времени работы программы.

    Характеристика пакетов прикладных программ САПР. Изучение особенностей работы SCADA-систем, которые позволяют значительно ускорить процесс создания ПО верхнего уровня. Анализ инструментальной среды разработки приложений сбора данных и управления Genie.

    Изучение технических характеристик и состава элементной базы современной ЭВМ. Разработка распределителя тактовых импульсов. Синтез вариантов реализации узла на уровне функциональных схем с использованием формальных и эвристических приемов проектирования.

    Анализ вариантов реализации комбинационной схемы для различных типов программируемых логических интегральных схем (ПЛИС). Возможности программных пакетов Decomposer и WebPACK ISE. Описание сумматора на языке VHDL, его синтез при помощи пакета Decomposer.

    Типовая схема процесса автоматизированного проектирования РЭС. Классификация проектных задач решаемых в процессе проектирования РЭС. Структура САПР, математическое обеспечение, лингвистическое обеспечение. Языки диалогов их разновидности и типы.

    Проектирование современных электронных средств и характеристика существующих методов их конструирования. Государственные стандарты оформления конструкторской документации, их учет и хранение в бюро технической документации. Виды носителей информации.

    Методы и этапы конструирования радиоэлектронной аппаратуры. Роль языка программирования в автоматизированных системах машинного проектирования. Краткая характеристика вычислительных машин, используемых при решении задач автоматизации проектирования РЭА.

    Проектирование устройства, выполняющего функцию восьмиразрядного синхронного реверсивного сдвигающего регистра и синхронной реверсивной пересчетной схемы. Проектирование и расчет триггерного устройства. Синтез структуры проектируемого устройства.

    Изучение основных принципов построения баз данных - именованной совокупности данных, отражающей состояние объектов и их отношений в рассматриваемой предметной области. Система управления базами данных. Концепции их построения и этапы проектирования.

    Программные средств для проектирования радиотехнических устройств. Основные технические возможности программы Microsoft Word. Сравнительные характеристики программ для математических расчётов. Программы моделирования процессов в радиоэлектронных схемах.

    Принципы проектирования комплекса технических средств автоматизированных систем управления. Требования, предъявляемые к специализированным устройствам, и затраты на их реализацию. Устройства кодирования графической информации. Графопостроители и табло.

    Cущность методики схемотехнического проектирования триггеров, этапы абстрактного и структурного синтеза. Характеристическая таблица функций возбуждения RS-триггера, проектирование печатной платы. Система P-CAD и условно-графическое обозначение элементов.

    Развитие компьютерных коммуникаций. Требования к экономической информации. Особенности информационных процессов на предприятиях. Проблемы внедрения информационных технологий в гуманитарной сфере. Методика информационного обследования предприятием.

    Алгоритмические методы широко используются для измерения и расчёта параметров математических моделей радиокомпонентов в системах автоматизированного проектирования электронных схем. Для их проектирования используются электронно-вычислительные машины.

    Оптимизация управления в различных сферах человеческой деятельности. Классификация автоматизированных информационных систем управления. Методы проектирования и этапы разработки. Структурная схема, объем памяти, аппаратура вывода и отображения информации.